EmbDev.net

Forum: FPGA, VHDL & Verilog Error: found '0' definitions of operator "<=", cannot determine exact overloaded matching definition


von Rohan Narkhede (Guest)



Rate this post
useful
not useful
Hi all,

I created a code for shift register using Melay FSM. The shift register 
is programmed such that it has states like Arithmatic, Logic shifts as 
well as Barrel Shifter and also it acts like normal register to store 
the data. The code file and test bench are attached.

While compiling, I get an error : "found '0' definitions of operator 
"<=", cannot determine exact overloaded matching definition for "<=" ", 
in test bench code at line 89 (see screenshot). I do not understand why 
this error is occuring. Please let me know how can I remove this error.

Thanks and regards,
Rohan Narkhede

von Guest (Guest)


Rate this post
useful
not useful
There is a comma instead of a semicolon at the end of the previous line.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.