EmbDev.net

Forum: FPGA, VHDL & Verilog There are no HDL sources in file set 'sources_1'. Please use the Add Sources command.


von Rohan Narkhede (Guest)



Rate this post
useful
not useful
Hi,

I am getting an error : There are no HDL sources in file set 
'sources_1'. Please use the Add Sources command.

The code file and the error screenshot are attached. Please let me know 
what could be the cause of error and how to remove it.

Thanks and regards,
Rohan Narkhede

von Rohan Narkhede (Guest)


Rate this post
useful
not useful
Just to add, I am using Xilinx Vivado for code compilation.

von Rainer (Guest)


Rate this post
useful
not useful
The screenshot shows syntax error. You have to fix them first.

von Rainer (Guest)


Rate this post
useful
not useful
What does vivado complain about. If you click on the "syntax error 
files" you should see in which line your problem is.

von Rohan Narkhede (Guest)



Rate this post
useful
not useful
Hi,

The syntax error it shows is at line 42 and 44. (Please see the new 
screenshot attached with this reply). I don't understand what is the 
syntax error here, as I have ended the process and ended the 
architecture - reg_arch like normal syntax.

Thanks an dregards,
Rohan Narkhede

von derLars (Guest)


Rate this post
useful
not useful
There is an "end if;" missing right in front of end process!

von Rohan Narkhede (Guest)


Rate this post
useful
not useful
Thank you so much derLars!!

von derLars (Guest)


Rate this post
useful
not useful
If you use tabs consequently, it is very easy to observe, like:

1
entity reg is
2
  port(
3
    clk     : in  std_logic;
4
    ...
5
    reset   : in  std_logic
6
  );
7
end entity reg;
8
9
architecture reg_arch of reg is
10
  signal r_reg : std_logic_vector(1 downto 0);
11
  ...
12
begin
13
  process(clk)
14
  begin
15
    if reset = '1' then
16
    ...
17
    elsif rising_edge(clk) then
18
      if ctrl0 = '0' ... then
19
      ...
20
      elsif ...
21
      ...
22
      elsif ...
23
      ...
24
      end if;
25
      <<<<<<<<<<<<<<<<<<< here is something obviously missing!
26
  end process;
27
28
  dout <= r_reg;
29
  
30
end architecture;

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.