EmbDev.net

Forum: FPGA, VHDL & Verilog Using ADC output in VHDL


von Francois F. (fmousse)


Rate this post
useful
not useful
Hi everyone !

I made an ADC and I would like to work with the output data.
The output data from the ADC is :
Data : std_logic_vector(13 downto 0)
My objective is to do something like :
Interesting_output = A x Data(n-1) + B x Data(n) + C x Data(n+1)
But I don't know how to use the output from my ADC and convert it into a 
number (for example 11111111111111 = 2 [Volts] and 00000000000000 = 0,4 
[Volts])

Can someone help me?

: Edited by User
von Anna (Guest)


Rate this post
useful
not useful
Yes..

von Francois F. (fmousse)


Rate this post
useful
not useful
How ? I don't know is my question is basic but I can't see where is the 
solution and how to do it...

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.