EmbDev.net

Forum: µC & Digital Electronics AMBA architecture


von Sai K. (Company: whizchip) (sai685)


Rate this post
useful
not useful
In AHB protocol i have address and data . When i am testing that the 
address should get in one clock and the data should get after one clock 
period of address . Can any one tell me about this . please immediately.

if possible send me a test bench code for AHB protocol.for both master 
and slave.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.