EmbDev.net

Forum: FPGA, VHDL & Verilog Trigonometric functions


von jeorges F. (Company: xlue) (khal1985)


Rate this post
useful
not useful
Hello all,

I want to implement some trigonometric functions such as sinus, cosinus, 
tan and arctan. So my question is what's be best way to do that?
I can't find a concrete example of how this kind of function. Can you 
give me please some links or samples to start?
Thanks for any help.
Best regards

von Lattice User (Guest)


Rate this post
useful
not useful
Search for CORDIC

von Alexander S. (alesi)


Rate this post
useful
not useful

von jeorges F. (Company: xlue) (khal1985)


Rate this post
useful
not useful
Thank you for you replies.

von Verilogi (Guest)


Rate this post
useful
not useful
easy sinodial wave can be implemented also with tables. this is more 
quicker, is precision is needed, do the table first and look into it

von D3XT3R (Guest)


Rate this post
useful
not useful
the best way to solve this depends on the accuracy you want to achieve.
You cant just implement a LUT for every function without extra RAM/ROM.
If i would be you, i would use CORDIC. But be careful, for arcsin such 
as arcos you will need double rotation CORDIC because. A recommend 
andrakas "A survey of Cordic" for better understanding of CORDIC

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.