EmbDev.net

Forum: FPGA, VHDL & Verilog Learning VHDL beyond basics


von Johan (Guest)


Rate this post
useful
not useful
a month ago I decided that I was lacking FPGA knowhow, said and done I 
ordered an experiment board (beeing an opensource aficionado I ordered 
the LogicStart MegaWing bundle with a Papilio One 500k) and two books, 
Ashenden's "The Designers Guid to VHDL" and Pong Chu's "FPGA Prototyping 
by VHDL Examples: Spartan 3". My reasoning behind these two books is 
that I start with Ashenden to learn the whole language then go to Pong 
to learn how to write syntezisable VHDL, since both contain exercises 
they make for really good self teaching material. I know Pong is 
targeting another experiment board but it's the same FPGA and im very 
confident I can myself make adjustments, except for e.g. the PS2 port 
which my papilio thankfully does not have.

I have now started to search for what to do after these books, how do I 
get more advanced in my FPGA knowledge. I'm a software guy and if I got 
the question "I want to start programming" from someone new to 
programming I would recomend a good starting book in python, then a good 
book on how to do test driven design, then a book about patterns, then 
moving to C followed by a book about object oriented design, then 
perhaps going for a best practice book and so on, by level of complexity 
and relevance. I have scoured the internet (or feels like it) to find 
such a list regarding FPGA, but at no luck so far, so thinking of 
posting the question here.

I have looked at three books for continued learning after I'm finished 
with Pong

Volnei Pedroni: Circuit Design and Simulation with VHDL ; seems to be 
aimed at explaining deeper the differences beetwen syntezisable and 
simulated VHDL. Though it seem to go through the VHDL language 
constructs yet again perhaps it is too much overlapping with Pong and 
Ashenden

Volnei Pedroni: Finite State Machines in Hardware: Theory and Design ; 
seems a good continuation, I understand that FSM is a very important 
topic in HW world and that they are completely different from SW FSM, 
also it seem to have excersises after each chapter which is good.

Pong Chu - RTL Hardware Design Using VHDL: Coding for Efficiency, 
Portability, and Scalability ; seems good, no more comments.

Thats my thinking, any suggestions or comments? Have not come about any 
books regarding FPGA testing? Perhaps I should look outside the more 
hands on book to one of the "meta" books out there?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Johan wrote:
> then go to Pong to learn how to write syntezisable VHDL
That piece of painted paper is the absolute wrong thing to learn 
anything about the Xilinx S3. Although this book carries the S3 in its 
name it has asynchronous resets throughout. And according to the Xilinx 
WP272 thats the absolutely wrong design strategy for that platform...

> I understand that FSM is a very important topic in HW world
Of course it is: even the most simple counter results in a FSM...


My best hint to learn VHDL for FPGA is: start with simple designs and 
always look for the RTL schematics after synthesizing.

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.