EmbDev.net

Forum: FPGA, VHDL & Verilog How to use Memory


von Abdallah  . (Company: Home) (my_name)


Rate this post
useful
not useful
Hi, I need some help
I want to receive data on online to the FPGA Board, so I should use 
memory as I know.
the problem is I haven't my own board, so I should prepare to apply the 
code in the board in only one day, for that I need some guiding.
how can I put the data in RAM (is it from Interface), what acquaintances 
should I have to go in coding with the min problem
thank you,greetings

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Abdallah      wrote:
> I want to receive data on online to the FPGA Board
What is "online"? Is it "from the Internet" via a protocol stack and an 
ethernet interface? Or is it just via a simple serial (e.g. RS232) 
interface in direct ordered binary format?

> to the FPGA Board
Which board? And which language?

> so I should prepare to apply the code in the board in only one day
This will not work unless you are very experiecend and unless you have a 
very clear picture of your design.

> the problem is I haven't my own board,
That is not your actual problem. Just to tie up all of the loose ends 
here and there in https://embdev.net/topic/326197 and in 
https://embdev.net/topic/325291 :
If you don't clarify your task and offer all of the information you have 
no one will be able to guide you the right way. And then "no guidance" 
is better than "wrong guidance"...

von Abdallah  . (Company: Home) (my_name)


Rate this post
useful
not useful
ok, thnks

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Abdallah      wrote:
> ok, thnks
You're welcome...  :-/

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.