EmbDev.net

Forum: FPGA, VHDL & Verilog Cannot synthesize the LFSR


von Chy L. (chy)



Rate this post
useful
not useful
For our project we need a random number generator, which also needs to 
be synthesizable. So I used a linear feedback shift register (LFSR) to 
create a 13-bit pseudo random number generator.

However, it cannot be synthesized and I don't know really why and how to 
solve it.

von Olga (Guest)


Rate this post
useful
not useful
Chy Lau wrote:
> it cannot be synthesized

Is that the error message from your synthesis tool? If not, is it a 
secret, or why don't you want to tell us the message?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Olga wrote:
> Is that the error message from your synthesis tool?
What synthesis tool?

: Edited by Moderator
Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.