EmbDev.net

Forum: FPGA, VHDL & Verilog error in package call


von Harry s. (harry27)


Rate this post
useful
not useful
i made a package using VHDL the ran check syntax it was successful, but 
when i use it in my code following error appears

Library unit pack is not available in library work.
WARNING:HDLParsers:3481 - Library work has no units. Did not save 
reference file "xst/work/hdllib.ref" for it.

what should i do?

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
>  but when i use it in my code following error appears
How do you use it?

von Harry s. (harry27)


Rate this post
useful
not useful
use work.pack.all

pack is name of my package

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Please read your post and imagine, that no one knows anything about 
what you are doing. Neither anybody knows anything with what toolchain 
you are working. And even so no one knows what part of the unknown 
toolchain reports that error to you when you do anything unknown. You 
see the problem?

And to ease any help:
post your vhdl file(s) as attachment(s) with ending *.vhl or *.vhdl.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.