EmbDev.net

Forum: FPGA, VHDL & Verilog parallel processs in vhdl


von Harry s. (harry27)


Rate this post
useful
not useful
i need to perform same task in parallel for different elements in my 
code i.e. my code has to run say from i=0 to n but in parallel for each 
value of i. Concurrent Modeling does not allow looping . Any 
suggestions.
Plz help

von Florian P. (db1pf)


Rate this post
useful
not useful
Hello,

look at the GENERATE-statement. I think this may help you.
http://www.ics.uci.edu/~jmoorkan/vhdlref/generate.html

Best regards,
Florian

von Harry s. (harry27)


Rate this post
useful
not useful
thanks i will look into it

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.