EmbDev.net

Forum: FPGA, VHDL & Verilog doubt on how to connect the ports in vhdl


von Elaine S. (Company: estudy) (elainen)


Rate this post
useful
not useful
I'm doing a vhdl code and need to connect the input directly at the 
exit, as I do this? Always connect the main exit at the exit doors ands, 
ors, etc..

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Take ANY book about VHDL synthesis and look on the very first pages...
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
4
entity InToOut is
5
    Port ( output : out STD_LOGIC;
6
           input  : in  STD_LOGIC);
7
end InToOut;
8
9
architecture Behavioral of InToOut is
10
begin
11
12
  output <= input;
13
14
end Behavioral;

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.