EmbDev.net

Forum: FPGA, VHDL & Verilog ERROR:HDLParsers:3014


von deepak s. (dksagra)


Rate this post
useful
not useful
After making my FSL core local in the system assembly view, the 
implementation results in the following error:


ERROR:HDLParsers:3014 - 
"D:/j_code/fsl_flash_lab/edk/pcores/fsl_kalman_v1_00_a/hdl/vhdl/fsl_kalm 
an.vhd"  Line 6. Library unit matrix_pkg is not available in library 
fsl_kalman_v1_00_a.

ERROR:EDK:546 - Aborting XST flow execution!

please solve my issue.

thanks

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.