EmbDev.net

Forum: FPGA, VHDL & Verilog Simulation Problems


von dhootha a. (Company: Student) (gollum)


Rate this post
useful
not useful
When i tried to simulate my code(vhdl) , it says Isim doesn't support 
traceable variables and shows an error
at 0 ps: Error: :fixed_pkg:READ(sfixed) Decimal point does not match 
number format some hundred times.
I am using fixed points and therefore fixed_pkg and fixed_float_types 
packages.

What does that error mean??

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
> it says Isim doesn't support traceable variables
Correct, it does not support viewing of variables. Thats a pity.

> fixed_pkg:READ(sfixed) Decimal point does not match number format
Then have a look, how the function READ is defined in the fixed_pkg.
I assume, that the number of leading and trailing digits in the stimuli 
file does not fit the format you told the function READ...
http://www.eda-stds.org/vhdl-200x/vhdl-200x-ft/packages_old/Fixed_ug.pdf

von dhootha a. (Company: Student) (gollum)


Attached files:

Rate this post
useful
not useful
I am couldn't figure out my mistake :(

Here I attach one of my files. I used the fixed point in the same way in 
the other files too.

von dhootha a. (Company: Student) (gollum)


Rate this post
useful
not useful
Hi Miller. I could solve that error after staring at the same code for 
three hours :P . I was taking the real type values from a txt file which 
i assigned to sfixed type variable.
Reading the package helped me gain some knowledge :) Thanks

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
dhootha adhi wrote:
> Hi Miller. I could solve that error after staring at the same code for
> three hours :P .
Nice to hear.
> I was taking the real type values from a txt file which i assigned to
> sfixed type variable.
That was what i assumed and the simulator said:
Decimal point does not match number format
> Reading the package helped me gain some knowledge :) Thanks
De nada. And good luck.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.