EmbDev.net

Forum: FPGA, VHDL & Verilog WARNING:Xst:2677: how to eliminate this warning?


von deepak s. (dksagra)


Rate this post
useful
not useful
WARNING:Xst:2677 - Node <rand_temp_0> of sequential type is unconnected 
in block <filter_k>.

von Ingo (Guest)


Rate this post
useful
not useful
Stupid?

von deepak s. (dksagra)


Rate this post
useful
not useful
warning is stupid or you are telling about yourself?

von PittyJ (Guest)


Rate this post
useful
not useful
Perhaps you should read the text of the warning.
Then think about it, e.g. what means 'unconnected'.
Then look into your design and repair it.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
> how to eliminate this warning?
Connect the unconnected lines.

For a answer that goes more into detail you must provide your code.

> how to eliminate this warning?
Why do you post your question 3 times?

> warning is stupid
Yes, also...

von shyamala (Guest)


Attached files:

Rate this post
useful
not useful
Xst:2677 - Node <adc_reg_data_8> of sequential type is unconnected in 
block <adc_top_interface_2>.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
Did you read the 5 year old thread befor posting your question?
The problem is somewhere in your code. Just have a look for the signal 
in question...


BTW: I will not open any *.docx file of an unknown source. Simply attach 
a text file with the messages or copy them straight to the edit box.

von Markus F. (mfro)


Rate this post
useful
not useful
if you intentionally want to leave an output port unconnected, you can 
indicate this to the tools with the keyword "open" in the port map.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.