EmbDev.net

Forum: FPGA, VHDL & Verilog Error Loading Design Model Sim PE student edition 10.1


von Amit Ram S. (amitram_s)


Rate this post
useful
not useful
Hi,

I installed ModelSim PE Student Edition 10.1 on Windows 7 32-bit OS in 
January 2012. I am able to compile the verilog files without any error. 
But when i try to load the design for simulation i get the error message 
as shown below. I copied the "student_license.dat" file into the 
installation directory of ModelSim as specified in email from Mentor 
Graphics.

Please provide a solution to this problem. Thanks in advance.



vsim -coverage work.ones_cntr_tb
# //  ModelSim PE Student Edition 10.1 Dec  6 2011
# //
# //  Copyright 1991-2011 Mentor Graphics Corporation
# //  All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION
# //  WHICH IS THE PROPERTY OF MENTOR GRAPHICS CORPORATION OR ITS
# //  LICENSORS AND IS SUBJECT TO LICENSE TERMS.
# //
# // NOT FOR CORPORATE OR PRODUCTION USE.
# // THE ModelSim PE Student Edition IS NOT A SUPPORTED PRODUCT.
# // FOR HIGHER EDUCATION PURPOSES ONLY
# //
# vsim -coverage work.ones_cntr_tb
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to 
one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of the 
ModelSim PE Student Edition.
# Error loading design

von user (Guest)


Rate this post
useful
not useful
you have to define a environment variable 
LM_LICENSE_FILE="pathtolicencefile/licensefile"

von Amit Ram S. (amitram_s)


Rate this post
useful
not useful
I defined a environment variable "LM_LICENSE_FILE" pointing to the 
"student_license.dat" file, but i am still getting the same error 
message as described previously.

I have installed Model Sim in E: drive instead of the default C: drive. 
Is the error occuring due to installation in a non-default location ?

von Duke Scarring (Guest)


Rate this post
useful
not useful
Check your lincens setup with the programs "lmtool" or "Licensing 
Wizard".

Duke

von Mathi (Guest)


Rate this post
useful
not useful
Modelsim PE does not support coverage without an optional package. Have 
you tried to start the simulation without -coverage ?

von Amit Ram S. (amitram_s)


Rate this post
useful
not useful
I tried loading the design by removing the coverage option. But, i am 
still getting the same error message.

vsim work.ones_cntr_tb
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to 
one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of the 
ModelSim PE Student Edition.
# Error loading design

von akash (Guest)


Rate this post
useful
not useful
the same problem is occurring with me.
i have set the the new variable and have given the path to license file.

even then i am getting the same here as below

vsim -gui
# Start time: 09:54:18 on Jul 10,2018
# ** FATAL ERROR: ModelSim PE Student Edition licensing failure due to 
one or more problems with the license key such as:
# - it is not found
# - it has expired
# - it is not for this user
# - it is not for this computer
# - it is not for this version of ModelSim PE Student Edition.
#
# Please go to http://www.model.com and download an updated copy of the 
ModelSim PE Student Edition.
# Error loading design

von Karim M. (kami13)


Rate this post
useful
not useful
I am struggling with the same problem....the only solution seems to 
uninstall and install the software again and ask for new license..but it 
is unpractical...it seems the license is linked to the IP

is there another reliable light(size not big)  VHDL simulator to use?

von Latha p (Guest)


Rate this post
useful
not useful
I installed Modelsim PE latest student verrsion two many ,but i am 
getting the following erroe

Please go to http://www.model.com and download an updated copy of the 
ModelSim PE Student Edition.
# Error loading design

von obsa (Guest)


Rate this post
useful
not useful
Error Loading Design Model Sim PE student edition 10.1

# Please go to http://www.model.com and download an updated copy of the 
ModelSim PE Student Edition.
# Error loading design

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.