EmbDev.net

Forum: FPGA, VHDL & Verilog Problem mit Array in VHDL


von Nighthawk 8. (Company: Privat) (nighthawk_84)


Rate this post
useful
not useful
Ich grüße euch!
Ich programmiere zur Zeit mit VHDL. Ich möchte gerne mehrere Register 
inizialieseren bzw. eine register file programmieren. Ich zeig euch kurz 
wie ich initialisiere:
1
-- W and B are generics W: integer : = 2 , B: integer := 8
2
type reg_file_type is array (2**W-1 DOWNTO 0) of STD_LOGIC_VECTOR(B-1 DOWNTO 0);
3
signal array_reg: reg_file_type;
hier die Frage wie groß ist mein array? Ein Array mit 4 STD_LOGIC_VECTOR 
der Länge 8 bit oder? Wenn ja, wieso wenn ich diese Zuwesiung mache:
1
array_reg <= ("00000000", "00000000", "00000000", "00000000", "00000000");
meckert der Compiler nicht??

Gruß

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.