EmbDev.net

Forum: FPGA, VHDL & Verilog #Error loading system#


von Sentinel (Guest)


Rate this post
useful
not useful
For anyone out there who uses ModelSim PE Student Edition and encounters 
this #Error loading system# problem, I may have a solution!

I myself am a student using Verilog and I have encountered this problem 
also but I managed to fix it! But to get to the point, this problem 
appears if your 'Student License' PDF file is contained within the 
'win32pe_edu' folder in the main'C:\Modeltech_pe_edu_10.0c' directory 
folder! Simply move it out of that folder and into the 
C:\Modeltech_pe_edu_10.0c' directory folder itself!

Just make sure that the your PDF student license is only in the 
C:\Modeltech_pe_edu_10.0c' directory folder and in no subfolders.

Note: this error will persist if there is a copied license remaining 
within the 'win32pe_edu' folder, so double check that this folder does 
not contain your student license which should be in the 
C:\Modeltech_pe_edu_10.0c' directory folder!

I have ModelSim PE Student Edition 10.0 as a download on my laptop so if 
you have other versions and are experiencing this error, try the above!
I don't know will it work for all versions but give it a try if problems 
occur! I hope this blog will cure a few headaches!

Regards,
Sentinel.

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.