EmbDev.net

Forum: FPGA, VHDL & Verilog HELP on understanding .tcl file.


von Karthiga G. (karthiga05)


Rate this post
useful
not useful
Hi everyone. I need to understand these following 3 lines from my .tcl 
file. Can someone please explain them to me? Thanks in advance!
1
read_netlist /MicroE/microe01/units/AUDIO/HVT/final_hvt.v  -top ml_classifier_chip
2
read_sdc /MicroE/microe01/units/AUDIO/HVT/final_hvt.sdc
3
4
5
read_vcd -vcd_module top_inst -module ml_classifier_chip /MicroE/microe01/units/AUDIO/HVT/compute.vcd 
6
7
# read_vcd -activity_profile -time_window 10000 -simvision -vcd_module top_inst -module ml_classifier_chip /MicroE/microe01/units/AUDIO/HVT/compute.vcd 
8
9
# read_vcd -vcd_module ml_classifier_chip -module ml_classifier_chip -start_time 0 -end_time 141606000 /nypdata04/digital/digit_02/projects/Audio/RC/init.vcd
10
11
report power > $resultsDir/final_power_hvt.rpt
12
report gates > $resultsDir/final_gates_hvt.rpt

von Duke Scarring (Guest)


Rate this post
useful
not useful
Maybe your 3 is not my 3, I see seven lines of code...

All lines call program specific tcl functions: read_netlist, read_sdc, 
read_vcd, report
Take a look in your application manual to get an explanation for this 
functions.

Duke

von Karthiga G. (karthiga05)


Rate this post
useful
not useful
Duke Scarring wrote:
> Maybe your 3 is not my 3, I see seven lines of code...
>
> All lines call program specific tcl functions: read_netlist, read_sdc,
> read_vcd, report
> Take a look in your application manual to get an explanation for this
> functions.
>
> Duke

oops. i forgot to bold them. sry.

von Karthiga G. (karthiga05)


Rate this post
useful
not useful
so basically its just reading the files?

von Karthiga G. (karthiga05)


Rate this post
useful
not useful
Also could you explain to me what is top-level module?

von Karthiga G. (karthiga05)


Rate this post
useful
not useful
what im doing now is actually Power Analysis. So why do i have to read 
the netlist and sdc file when a vcd file should be enough to determine 
the power?

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.