EmbDev.net

Forum: FPGA, VHDL & Verilog <= and => operators


von superzanti (Guest)


Rate this post
useful
not useful
What is the difference between the => operator and the <= operator. I 
can't figure it out. i thought they were both signal assignment 
operators for the longest time.

Thank you.

von hhhh (Guest)


Rate this post
useful
not useful
Hi, the following statement maybe not a full declaration but for 
beginners:

the <= operator assigns signals from the right to the left signal

the => operator maps signals e.g. inner signals to outter signals, 
furthermore the => operator is used in switch-case structures and to map 
single bits of a vector (0 => '1', 1 => '0', others => '0'), which means 
bit 0 of the vector should be HIGH, bit 1 should be LOW and all other 
bits should be LOW

Please log in before posting. Registration is free and takes only a minute.
Existing account
Do you have a Google/GoogleMail account? No registration required!
Log in with Google account
No account? Register here.