EmbDev.net

Forum: FPGA, VHDL & Verilog help in image processing using verilog


von Alangs K. (Company: Kenit) (alangs)


Attached files:

Rate this post
useful
not useful
Hi FPGA experts i need a help in processing an image.....plz find the 
attachment of the image it is having white and black lines...here i have 
to count those white lines only exactly(17)....in which algorithm i can 
process it.....already i processed the image using sobel edge detection 
using verilog but i didnt get exact edge detected image...so that i cant 
able to proceed furthur....so some one plz guide me in which way i can 
implenment this in FPGA....

: Locked by Moderator
von Andreas S. (andreas) (Admin)


Rate this post
useful
not useful
It's easy to develop an algorithm that returns "17" for this picture; 
the easiest would be one that returns a constant. If you want to develop 
something that returns the number of lines for other pictures as well, 
first you need to look at more samples or have some other information 
about how these pictures will look: are the lines always straight and 
exactly horizontal? Does the number of lines vary between 15 and 20, or 
between 1 and 100? And so on.

Just an idea:
1. average over a few values in x direction (or over the whole length) 
to get a 1-dimensional vector
2. subtract the mean value
(2.5. apply median filter if necessary)
3. count the number of zero crossings

von na sowas (Guest)


Rate this post
useful
not useful
> Hi FPGA experts i need a help in processing an image..
This isn't a particular problem for an FPGA expert, but one for a signal 
processing guy. Try to ask them for a solution for your problem.
http://embdev.net/forum/digital-signal-processing
And when you have a working algorithm you can step back to the FPGA 
part.

von namita (Guest)


Attached files:

Rate this post
useful
not useful
my project is image processing using fpga. m go to use altera DE-2 kit 
for it. plz tell me wether it is convineint or not. how can we read an 
image from pc, send the vhdl code also....plzzzz help....

von praveen (Guest)


Rate this post
useful
not useful
hello,
am praveen vanaparthy studying mtech 2nd year.
now am doing my project on image enhancement using fpga, for this i want 
to use vertex-2-pro kit.
 how can we read an image from pc/memory card, send the verilog code 
also....plzzzz help....

am created .txt file using matlab, but i didnt get how to write code in 
verilog using this .txt file.

and my doubt is about interfacing part( ie how to interface fpga with 
display, memory device).

please help me

von P. K. (pek)


Rate this post
useful
not useful
Andreas Schwarz wrote:
> 3. count the number of zero crossings
...and divide by 2, as you'll get two crossings per thread.

This approach can be a starting point, if your picture(s) look always 
the same (i.e the threads are always in horizontal direction.

However, the approach needs to be more sophisticated, if you intend to 
make out missing threads, snapping threads or similar.

Some exception handling may be necessary, if thread(s) at top and bottom 
are not completely within the picture.

von SONALI B S (Guest)


Rate this post
useful
not useful
Hi FPGA experts!!!!
Im doing a validation of my project "Multiprecision floating point unit 
using a dsp application".I wanted ur help in what image processing i can 
do which involves floating point in it.I found out on net that image 
inversion can be done but im unable to take the txt file converted into 
floating point format.So plz help me.

von Lothar M. (Company: Titel) (lkmiller) (Moderator)


Rate this post
useful
not useful
SONALI B S wrote:
> So plz help me.
Start an NEW thread for a NEW question, please.
Add as much information as possible. Post that text file or a part of 
it. Tell, what your actual problem is with that text file. Tell what HDL 
and toolchain you use. With the information you told up to now no one 
will able to help you in any way.

: Edited by Moderator
This topic is locked and can not be replied to.