module average(a1,b,clk,C); input [9:0] a1; input clk,b; output [9:0]C; reg [9:0] in1,in2,in3,in4,avg; reg [11:2] Sum; always @ (a1,posedge clk); begin 0:in1=a1; 1:in2=in1; 2:in3=in2; 3:in4=in3; in1+in2+in3+in4=Sum; Sum/4=C end endmodule