`timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////////////////// // Company: // Engineer: // // Create Date: 12:55:50 05/08/2014 // Design Name: // Module Name: adder // Project Name: // Target Devices: // Tool versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// module adder1( input A, input B, input Cin, output S, output Cout ); always@(*) begin S = ( ~A & ~B & Cin) | ( ~A & B & ~Cin) | ( A & ~B & ~Cin) | ( A & B & Cin) ); Cout= ( ~A & ~B & Cin) | ( ~A & B & ~Cin) | ( A & ~B & ~Cin) | ( A & B & Cin) ); end endmodule